DSpace Repository

Advanced microarchitecture and circuit design techniques for on-chip memories in CMOS technology

Show simple item record

dc.contributor Lu, Shih-Lien
dc.contributor Krishnamurthy, Ram
dc.contributor Lee, Ben
dc.contributor Weisshaar, Andreas
dc.contributor Guenther, Ron
dc.date 2006-07-24T17:26:56Z
dc.date 2006-07-24T17:26:56Z
dc.date 2006-06-02
dc.date 2006-07-24T17:26:56Z
dc.date.accessioned 2013-10-16T07:38:28Z
dc.date.available 2013-10-16T07:38:28Z
dc.date.issued 2013-10-16
dc.identifier http://hdl.handle.net/1957/2597
dc.identifier.uri http://koha.mediu.edu.my:8181/xmlui/handle/1957/2597
dc.description Graduation date: 2007
dc.description In modern on-chip memories, an increasing demand for higher performance, lower power, reduced area, and improved robustness creates a rising need for advanced microarchitecture and circuit design techniques. Particularly in large-signal multi-ported register files, these advanced design techniques include: (i) multi-banked arrays, (ii) multi-frequency arrays, (iii) multi-bit width gating, (iv) multi-latency cycle times, (v) multi-threshold devices, and (vi) multi-strength keepers. In modern microprocessors, register files are important ingredients, but the increasing number of register file read/write ports and entries can produce a bottleneck. This thesis discusses various new techniques, to address the challenges facing register file designers, and to satisfy microprocessor requirements. The scalability of register files is a concern in modern microprocessors. As microprocessors become wider to exploit instruction level parallelism, this increases the amount of read/write ports. In turn this results in quadratic growth in register file area, decreasing frequency and increasing the power consumption. Multi-banked and multi-frequency register files reduce area and power consumption by relieving the read/write port congestion. Multi-bit width register files reduce active power during read/write operations by gating the clock/wordline. Pipelined register files improve frequency by reducing logic depth, but require multiple cycles for read/write operations. Multi-latency register files contain variable access cycle times, which are dependent on the physical locality of the data. This improves overall microprocessor performance and recovers lost instructions per cycle. As instruction window size continues to expand in modern microprocessors, the resulting demand for additional register file entries requires increased use of wide-OR dynamic circuits. However, these circuits, primarily found in local/global bitlines, are susceptible to leakage noise. In a multi-threshold process, a self-reverse bias technique exploits the use of leaky low-VTH devices, reducing bitline leakage and improving robustness. This circuit topology improves bitline delay from reduced keeper contention. Downsized keepers improve bitline delay in low leakage conditions; stronger keepers improve bitline robustness in high leakage conditions. Utilizing this concept, register files with multi-strength keepers enable robust operation across a wide range of process, voltage, and temperature. These various design techniques show excellent promise in improving performance, power, area, and robustness of multi-ported register files in modern microprocessors.
dc.language en_US
dc.subject microprocessors
dc.subject memory
dc.subject register file
dc.subject CMOS
dc.title Advanced microarchitecture and circuit design techniques for on-chip memories in CMOS technology
dc.type Thesis


Files in this item

Files Size Format View

There are no files associated with this item.

This item appears in the following Collection(s)

Show simple item record

Search DSpace


Advanced Search

Browse

My Account